Word abonnee en neem Beursduivel Premium
Rode planeet als pijlen grid met hoorntjes Beursduivel
Aandeel

ASML Holding NL0010273215

Laatste koers (eur)

829,500
  • Verschill

    -5,300 -0,63%
  • Volume

    130.404 Gem. (3M) 567,3K
  • Bied

    829,400  
  • Laat

    829,500  
+ Toevoegen aan watchlist

ASML 2019

5.740 Posts
Pagina: «« 1 ... 277 278 279 280 281 ... 287 »» | Laatste | Omlaag ↓
  1. forum rang 6 Marcel H. 20 december 2019 21:52
    @Roloff. Ik ben een ASML-aandeelhouder vanaf 2006, af en toe pas ik wel wat belangen aan, ik heb er geen spijt van. De zon schijnt volop. Houden zo. Ook in 2020.
  2. [verwijderd] 20 december 2019 22:05
    quote:

    VP 1958 schreef op 20 december 2019 22:02:

    Welke aandelen moest je hebben dit jaar? ASML en GLPG . Einde discussie.
    Ja, maar.. :(
  3. forum rang 4 Bakkiekoffie 20 december 2019 22:11
    quote:

    roloff schreef op 20 december 2019 15:48:

    [...]@Bakkiekoffie, lijkt me niet dat de NL belastingdienst vanaf 2022 genoegen neemt met een laag fictief rendement als je één dagje per jaar je aandelen even verkoopt. Dan zou iedereen dat doen.
    Heb er hier in Portugal niet mee te doen, dus heb me er niet in verdiept.
    Gaat om peildatum 1 januari!
  4. forum rang 4 roloff 20 december 2019 22:31
    quote:

    Bakkiekoffie schreef op 20 december 2019 22:11:

    [...]
    Gaat om peildatum 1 januari!
    @Bakkiekoffie, het zal niet meer om 1 peildatum kunnen gaan. Dan verkoopt iedereen in NL - vanaf 2022 - toch even zijn aandelen en koopt ze op 2 januari terug om zo een hoge vermogens-rendementsheffing te voorkomen. Dat was mijn punt. Zo´n regeling zou de fiscus niets opleveren.

    Hier bij ons geen vermogensbelasting, dus heb me niet in het toekomstige NL systeem verdiept.
  5. [verwijderd] 21 december 2019 02:20
    TSMC, Intel en Samsung (klanten) zijn capaciteiten aan het uitbreiden (nieuwe gebouwen) om capaciteit te creeëren voor nieuwe EUV's. De acceleratie naar hogere productie moet nog plaatsvinden.
  6. forum rang 4 holenbeer 21 december 2019 06:45
    quote:

    Bakkiekoffie schreef op 20 december 2019 15:45:

    [...]
    Ah ik ben een te vroeg Marcel klopt. De vermogensrendementsheffing wijzigt in 2022, dan is er behoorlijk verschil in 'fictieve rendementen liquide middelen en aandelen/effecten

    Zat te denken tegen die tijd:
    - aandelen op tijd verkopen
    - maandputs verkopen met strike op ca. verkoopprijs
    - maandcalls kopen van de premie van die puts
    - na een maand weer in aandelen door assignment puts, uitoefenen calls of reguliere terugkoop

    Dan zit je netto veel minder belegd op het ijkmoment. Zeker als de verkoop van die puts negatief meeweegt.

    Zal tegen dan vast niet werken, of te duur worden als iedereen het doet.
  7. forum rang 4 roloff 21 december 2019 09:54
    quote:

    roloff schreef op 21 december 2019 01:21:

    ASML has presold four High-NA systems to three customers (the price of each machine is 270M).

    High volume production of the High-NA systems will start 2024.

    semiengineering.com/week-in-review-ma...
    Het mooie van ASML is dat de opvolger van EUV, High-NA, ook al weer in beeld is.

    Er is gewoon een heel reële roadmap die zelfs tot na 2030 loopt.

    Ik kan het niet anders omschrijven, een prachtig aandeel!
  8. [verwijderd] 21 december 2019 13:05
    quote:

    roloff schreef op 21 december 2019 01:21:

    ASML has presold four High-NA systems to three customers (the price of each machine is 270M).

    High volume production of the High-NA systems will start 2024.

    semiengineering.com/week-in-review-ma...
    Drie kanttkeningen:

    1) ASML verkoopt hier technologie die nog niet bestaat. De vraag is of ze de geschetste tijdlijnen halen

    Conclusion
    Breakthroughs in resists and other technologies for high-NA are required. The industry is working on them, but there are several unknowns here.

    In parallel, chipmakers are working on different architectures that circumvent chip scaling, such as advanced packaging. It’s good to have backup plans, just in case high-NA is delayed or falters.


    semiengineering.com/multi-patterning-...

    2) Deze techniek is een must omdat single patterning EUV vanaf 5 NM tegen beperkingen aanloopt

    3) De concurrentie zit ook niet stil
    global.canon/en/technology/frontier07...
    seekingalpha.com/article/4249762-cano...

  9. forum rang 6 Marcel H. 21 december 2019 13:37
    quote:

    roloff schreef op 21 december 2019 09:54:

    [...]Het mooie van ASML is dat de opvolger van EUV, High-NA, ook al weer in beeld is.

    Er is gewoon een heel reële roadmap die zelfs tot na 2030 loopt.

    Ik kan het niet anders omschrijven, een prachtig aandeel!
    @Roloff. Inderdaad. De parel die eigenaar Philips (CEO Jan Timmer) van de hand deed. Het zorgenkind ASML kreeg bij de scheiding nog 47 mio mee. Allerlaatste bijdrage . Inmiddels is de zoon groter geworden en vader werd een dreumes.
  10. forum rang 5 Inion 21 december 2019 14:09
    De afgelopen 15 jaar zijn er elk jaar voorspellers, die een snel naderend einde van lithografie voorspellen...
  11. forum rang 6 Marcel H. 21 december 2019 14:25
    quote:

    Inion schreef op 21 december 2019 14:09:

    De afgelopen 15 jaar zijn er elk jaar voorspellers, die een snel naderend einde van lithografie voorspellen...
    In het christelijk geloof zijn er al jarenlang gedachten dat het einde der tijden nabij is. Misschien komt de aarde eens in botsing met een andere planeet.
  12. forum rang 6 Marcel H. 21 december 2019 15:22
    quote:

    AnalytischDenker schreef op 21 december 2019 13:05:

    [...]

    Drie kanttkeningen:

    1) ASML verkoopt hier technologie die nog niet bestaat. De vraag is of ze de geschetste tijdlijnen halen

    Conclusion
    Breakthroughs in resists and other technologies for high-NA are required. The industry is working on them, but there are several unknowns here.

    In parallel, chipmakers are working on different architectures that circumvent chip scaling, such as advanced packaging. It’s good to have backup plans, just in case high-NA is delayed or falters.


    semiengineering.com/multi-patterning-...

    2) Deze techniek is een must omdat single patterning EUV vanaf 5 NM tegen beperkingen aanloopt

    3) De concurrentie zit ook niet stil
    global.canon/en/technology/frontier07...
    seekingalpha.com/article/4249762-cano...

    De grootste concurrent is AD. Bij ASML maakt zich niemand zorgen.
  13. forum rang 4 roloff 21 december 2019 15:23
    Heren, heren, breng hem/haar nou niet op ideeën ... die krijgen we hier allemaal een keer terug.
  14. forum rang 6 Marcel H. 21 december 2019 16:03
    quote:

    roloff schreef op 21 december 2019 15:23:

    Heren, heren, breng hem/haar nou niet op ideeën ... die krijgen we hier allemaal een keer terug.
    Jij reageert doorgaans op miss 0%. Zo'n oliedomme man heb ik nooit ontmoet. Aan het einde van dit jaar staat Adje met nul, nul. Heeft nog geen geld om zijn diligence te betalen. Ik stoor me ook wel aan zijn gedrag. Wat doen we ermee? Kinderen van een kleuterklas zouden beter weten .Bye bye Ad.
  15. forum rang 6 Marcel H. 21 december 2019 16:29
    quote:

    roloff schreef op 21 december 2019 16:17:

    Even wat anders ... www.ed.nl/asml/asml-ers-leren-nederla...
    Deze publicatie las ik vandaag ook in het Eindhovens Dagblad. Er is volop vertrouwen wereldwijd door medewerkers in ASML Laat de clown maar links liggen. Die gaat 2020 eindigen met 0,0.
5.740 Posts
Pagina: «« 1 ... 277 278 279 280 281 ... 287 »» | Laatste |Omhoog ↑

Neem deel aan de discussie

Word nu gratis lid van Beursduivel.be

Al abonnee? Log in

Macro & Bedrijfsagenda

  1. 25 april

    1. BASF Q1-cijfers
    2. Deutsche Bank Q1-cijfers
    3. Delivery Hero Q1-cijfers
    4. Nestlé Q1-cijfers
    5. Adyen Q1-cijfers
    6. Besi Q1-cijfers
    7. Flow Traders Q1-cijfers
    8. Sanofi Q1-cijfers
    9. Azelis Q1-cijfers
    10. Kinepolis Q1-cijfers
de volitaliteit verwacht indicator betekend: Market moving event/hoge(re) volatiliteit verwacht