Word abonnee en neem Beursduivel Premium
Rode planeet als pijlen grid met hoorntjes Beursduivel
Aandeel

ASML Holding NL0010273215

Laatste koers (eur)

853,000
  • Verschill

    -6,100 -0,71%
  • Volume

    492.332 Gem. (3M) 524,3K
  • Bied

    -  
  • Laat

    -  
+ Toevoegen aan watchlist

ASML in 2015

2.899 Posts
Pagina: «« 1 ... 12 13 14 15 16 ... 145 »» | Laatste | Omlaag ↓
  1. [verwijderd] 25 maart 2015 16:54
    quote:

    mr.Franz schreef op 25 maart 2015 16:50:

    Zo ik heb weer een plukje binnen. Voor de langere termijn is dit in mijn ogen een prima instap/bijkoop koers.
    Juist, mijn idee. zoeven te duur gekocht dus ga ik nog maar een plukje binnenhalen.
  2. [verwijderd] 25 maart 2015 16:55
    De hele sector heeft last van een vrije val.. BESI -4,32%, Taiwan Semiconduct -4,1%, NXP -4,6% enz. Tis niet aan ASML gebonden.

    Iemand wel enig idee wat er aan de hand is?
  3. TraderRon 25 maart 2015 16:57
    quote:

    V-Ram schreef op 25 maart 2015 16:55:

    De hele sector heeft last van een vrije val.. BESI -4,32%, Taiwan Semiconduct -4,1%, NXP -4,6% enz. Tis niet aan ASML gebonden.

    Iemand wel enig idee wat er aan de hand is?
    Men verwacht dat er een correctie op handen is dus wordt winst genomen op die sector...
    Sell the winners first.
  4. [verwijderd] 25 maart 2015 17:06
    quote:

    TraderRon schreef op 25 maart 2015 16:57:

    [...]

    Men verwacht dat er een correctie op handen is dus wordt winst genomen op die sector...
    Sell the winners first.
    Aha. Zoals het er nu uit ziet, lijkt het al aardig op een correctie. Zal me niks verbazen als dit deze week nog wordt gebruikt als een instapmoment. Die correcties van de laatste maanden waren niet echt dreigend.
  5. aandeeltje! 25 maart 2015 20:38
    boven de 110 richting 120 wilde ik pas short. Dus nog geen positie, long ga ik nu ook niet meer innemen. Dat zou ik as doen bij sustantieel lagere koers.
    Afwachten maar weer.
    In totaliteit staat debeurs wel wat hig, gedreven door allerlei externe elementen...
  6. [verwijderd] 26 maart 2015 06:30
    BRIEF-Taiwan's TSMC orders equipment from Marketech Int'l and ASML for T$1.1 bln
    MARCH 25 | Wed Mar 25, 2015 2:06am EDT

    March 25 (Reuters) - Taiwan Semiconductor Manufacturing Co Ltd

    * Says orders equipment from Marketech International Corp and ASML Hong Kong Ltd for T$1.1 billion ($35.18 million)

    Further company coverage: ($1 = 31.2720 Taiwan dollars) (Reporting By Hong Kong newsroom)
  7. Geerten B 26 maart 2015 08:35
    Deutsche Bank heeft het advies voor ASML sterk verlaagd van Kopen naar Verkopen met een koersdoel van 85,00 euro.
    De analisten spraken van een "indrukwekkende" koersprestatie in de afgelopen jaren voor ASML, waarbij het fonds 35 procent beter presteerde dan de bredere sector. Volgens Deutsche Bank schoot dit evenwel door en "lopen de verwachtingen vooruit op de realiteit".

    Deutsche Bank toonde zich daarbij sceptisch over de voortgang van de nieuwe technologie Extreme Ultraviolet, met het argument dat het nog "ver van een hoog productievolume" verwijderd is.

    "Meer vertraging zou kostbaar zijn", aldus de analisten. In een negatief scenario voor EUV zou de verwachte omzet in 2020 van 10 miljard euro zelfs circa 3 miljard lager uit kunnen vallen.

    ASML sloot woensdag 5,5 procent lager op 96,09 euro.
    www.beursgorilla.nl/nieuws-item.asp?s...
  8. TraderRon 26 maart 2015 08:38
    quote:

    PARTYBEAST... schreef op 26 maart 2015 08:35:

    Deutsche Bank heeft het advies voor ASML sterk verlaagd van Kopen naar Verkopen met een koersdoel van 85,00 euro.
    De analisten spraken van een "indrukwekkende" koersprestatie in de afgelopen jaren voor ASML, waarbij het fonds 35 procent beter presteerde dan de bredere sector. Volgens Deutsche Bank schoot dit evenwel door en "lopen de verwachtingen vooruit op de realiteit".

    Deutsche Bank toonde zich daarbij sceptisch over de voortgang van de nieuwe technologie Extreme Ultraviolet, met het argument dat het nog "ver van een hoog productievolume" verwijderd is.

    "Meer vertraging zou kostbaar zijn", aldus de analisten. In een negatief scenario voor EUV zou de verwachte omzet in 2020 van 10 miljard euro zelfs circa 3 miljard lager uit kunnen vallen.

    ASML sloot woensdag 5,5 procent lager op 96,09 euro.
    www.beursgorilla.nl/nieuws-item.asp?s...
    Hahaha komt ook toevallig nadat er even flinke onzekerheid in de markt/aandeel is geslopen.
    Ruikt qua timing naar een bank die zelf wil inkopen ;)
    Ga vandaag eens kijken voor een positie
  9. slei 26 maart 2015 09:46
    'Uitstel EUV brengt omzetdoel ASML in gevaar'

    (http://www.iex.nl/nieuws/ANP_ANP-260315-090/Uitstel-EUV-brengt-omzetdoel-ASML-in-gevaar.aspx?_ga=1.52457512.1853731160.1423433183)

    Maar het was toch al wel bekend dat de verwachtingen over EUV veel te hoog waren; volgens enkelen zelfs absoluut niet haalbaar?
  10. TraderRon 26 maart 2015 09:48
    Deutsche Bank: geloof niet in EUV-hype ASML - Market Talk

    AMSTERDAM (Dow Jones)--Deutsche Bank verlaagt het advies voor ASML (ASML.AE) naar sell van buy. Het aandeel is de afgelopen jaren sterk opgelopen, maar de verwachtingen zijn te ver doorgeschoten, zeggen de analisten, die bezorgd zijn over de snelheid van de introductie van de nieuwe EUV-technologie. "Geloof niet in de hype", waarschuwen zij zelfs. Een recent bezoek aan een lithografieconferentie heeft hun angst bevestigd dat EUV nog ver verwijderd is van hoge volumeproductie. De analisten verwachten geen betekenisvolle EUV-vertegenwoordiging bij belangrijke chipmakers voor de 10 nm node, ondanks tegenovergestelde verklaringen van het bedrijf zelf. Voor 7 nm logische chips sluit het venster zich wellicht al begin 2016 en ASML en zijn partners hebben nog veel werk te verrichten, vervolgt DB. Als er geen duidelijk zicht is op een waferproductie van 2.000 stuks per dag kunnen verdere vertragingen kostbaar uitpakken. De analisten zien een potentiele impact van EUR3 miljard op de omzet in 2020 (geraamd op EUR10 miljard) wanneer EUV blijft vertragen bij zowel logic als DRAM. Het koersdoel gaat omlaag naar EUR85 van EUR105. Donderdag omstreeks 9.35 uur noteert het aandeel 4,2% lager op EUR92,02, terwijl de AEX met 1,1% daalt. (BTZ)


    Hele vreemde motivatie..
    Paar analistjes weten beter als bedrijf zelf dat het niet gaat lukken na een bezoek aan een conferentie?
    Damn..

    Ga even bekijken of er een bodem gezet lijkt en ga er dan zeker in.
  11. [verwijderd] 26 maart 2015 09:51
    quote:

    slei schreef op 26 maart 2015 09:46:

    'Uitstel EUV brengt omzetdoel ASML in gevaar'

    (http://www.iex.nl/nieuws/ANP_ANP-260315-090/Uitstel-EUV-brengt-omzetdoel-ASML-in-gevaar.aspx?_ga=1.52457512.1853731160.1423433183)

    Maar het was toch al wel bekend dat de verwachtingen over EUV veel te hoog waren; volgens enkelen zelfs absoluut niet haalbaar?
    Dit bericht staat wel haaks met de doelstelling voor 2015 van 1000 wafers per dag die al is behaald.
  12. TraderRon 26 maart 2015 09:58
    quote:

    V-Ram schreef op 26 maart 2015 09:51:

    [...]

    Dit bericht staat wel haaks met de doelstelling voor 2015 van 1000 wafers per dag die al is behaald.
    Is ook precies wat ik aangeef.
    Is aanname van paar analisten die niet echt ergens op gebaseerd lijkt te zijn.
    Door ASML totaal niet aangegeven dat er problemen te verwachten zijn daarnaast al eerste mijlpaal bereikt.
    Wordt nu gebracht alsof uitstel al een feit is terwijl het op het moment puur hypothetisch is.
    Wel geniale (bewuste) timing qua uitbrengen advies.. die hadden ze al even op de plank klaarliggen voor het eerste teken van zwakte.
  13. [verwijderd] 26 maart 2015 10:00
    quote:

    TraderRon schreef op 26 maart 2015 09:48:

    Deutsche Bank: geloof niet in EUV-hype ASML - Market Talk

    AMSTERDAM (Dow Jones)--Deutsche Bank verlaagt het advies voor ASML (ASML.AE) naar sell van buy. Het aandeel is de afgelopen jaren sterk opgelopen, maar de verwachtingen zijn te ver doorgeschoten, zeggen de analisten, die bezorgd zijn over de snelheid van de introductie van de nieuwe EUV-technologie. "Geloof niet in de hype", waarschuwen zij zelfs. Een recent bezoek aan een lithografieconferentie heeft hun angst bevestigd dat EUV nog ver verwijderd is van hoge volumeproductie. De analisten verwachten geen betekenisvolle EUV-vertegenwoordiging bij belangrijke chipmakers voor de 10 nm node, ondanks tegenovergestelde verklaringen van het bedrijf zelf. Voor 7 nm logische chips sluit het venster zich wellicht al begin 2016 en ASML en zijn partners hebben nog veel werk te verrichten, vervolgt DB. Als er geen duidelijk zicht is op een waferproductie van 2.000 stuks per dag kunnen verdere vertragingen kostbaar uitpakken. De analisten zien een potentiele impact van EUR3 miljard op de omzet in 2020 (geraamd op EUR10 miljard) wanneer EUV blijft vertragen bij zowel logic als DRAM. Het koersdoel gaat omlaag naar EUR85 van EUR105. Donderdag omstreeks 9.35 uur noteert het aandeel 4,2% lager op EUR92,02, terwijl de AEX met 1,1% daalt. (BTZ)


    Hele vreemde motivatie..
    Paar analistjes weten beter als bedrijf zelf dat het niet gaat lukken na een bezoek aan een conferentie?
    Damn..

    Ga even bekijken of er een bodem gezet lijkt en ga er dan zeker in.
    My thoughts exactly! Ondanks eerdere berichtgeving van ASML en partners over het op schema liggen voor het behalen van de doelstellingen. Die 1500 wafers per dag voor massaproductie liggen nog steeds in het vizier.

    Ik aas ook op een nieuw koopmomentje, maar ik denk dat we nog even geduldig moeten zijn. We kunnen misschien weer naar de 80-zone als dit echt de noemenswaardige correctie wordt waar de analisten het over hebben. Zie trouwens lage volumes voorbij flitsen die de prijs drukken. Angstige particulieren denk ik.
  14. TraderRon 26 maart 2015 10:25
    EUV Makes Headway At Last 3/24/2015

    Research on extreme ultraviolet (EUV) lithography is making real progress, encouraging semiconductor makers to reconsider their road maps.

    What struck me at the SPIE Advanced Lithography conference in February was the progress in EUV lithography, which left me more optimistic than last year. After some years of very slow progress with the throughput and source power of the EUV scanners, we are seeing a sudden breakthrough that changed the outlook of many attendants.


    TSMC was the source of the optimism. It’s engineers reported they exposed 1,000 wafers in 24 hours on an ASML NXE:3300B equipped with an 80W light source. This is a watershed advance compared to last year, when even reaching a 10W power level seemed challenging.

    TSMC has set up a pre-production experiment. Clearly, they have positioned themselves as a pioneer in EUV, with an ambition to insert EUV in N7 high-volume production, and retrofit it into N10 production. Seeing the advances with the power, that seems possible. However, the EUV scanners still need to improve their average uptime.

    Looking at the presentations on EUV resists I didn’t see a major breakthrough, only a slow evolution. There were some nice alternative approaches, but these will take more time to mature. It’s becoming clear that some of the resist suppliers had put their development programs on the back burner in previous years. But now, with the progress made with the source power, they have to play catch-up and start coming up with good progress soon.

    There was more optimistic news concerning masks, especially around mask inspection and defectivity, as more and more reliable data become available. Imec collaborated on a study which is developing an actinic blank inspection tool (ABI). Using our full field NXE:3100 EUV scanner, we exposed the masks made from the blanks that were inspected with the ABI tool, and correlated the defects in the resulting wafers to the defects found in the blanks. The results show that the new tool can indeed find all the critical blank defects.

    Another highlight was the removable pellicle concept. It showed the successful demonstration of a poly-silicon pellicle developed in the previously by ASML is now available for early EUV adopters as a complete pellicle solution with support from ASML.

    I didn’t have the opportunity to follow many presentations on direct self-assembly (DSA), but my general impression was that there were no surprises -- progress and momentum remains very solid. The reported defectivity numbers keep going down, as emphasized by Intel which showed a defectivity level that allowed them to state that DSA defectivity can now be removed from the list of potential DSA roadblocks.

    As for the alternative approaches, there were several presentations on nano-imprint. The engineers at Canon Nanotechnologies (formerly Molecular Imprints) now officially focus on the flash memory market, where production is somewhat more tolerant of defects. The prototype tool that was presented features sound defectivity and overlay results, mostly champion data that needs to evolve to repeatable specifications. As for the roadmap, Canon is currently focusing their developments to be ready for flash production. Their next target, two years out, is the DRAM market, and another two years out, they want to be in logic manufacturing.

    And then there is the perennial promise of e-beam/multi-beam which this year really seems to have stalled. Intel’s Yan Borodovsky admitted as much, saying that e-beam for direct write on wafers is nowhere anymore. Apart from the mask writing business, some people still see a glint of hope for multi-beam technology in very fast prototyping.

    ASML has shipped eight NXE:3300B EUV scanners, five of which are exposing wafers at 40W and one at 80W. Its successor, the NXE:3350B, will start shipping later in 2015.

    The goal is now to upgrade the sources to a reliable 100W by the end of this year in as many sites as possible in the field. This looks feasible, as ASML has already demonstrated a greater than 100W light source in-house. After that, the target of 250W doesn’t seem all that far-fetched anymore.

    All this data together paints a dramatic change of EUV picture compared to a year ago, a change that got people’s attention and that will make some companies reconsider their plans and roadmaps.

    --Kurt Ronse directs the lithography program at the Imec research institute in Leuven, Belgium, which maintains a fab with an EUV scanner.


    www.eetimes.com/author.asp?section_id...

    Een kenner die naar dezelfde conferentie is geweest.. maar toch juist iets anders heeft gezien ;)
  15. HDD1980 26 maart 2015 11:02
    quote:

    TraderRon schreef op 26 maart 2015 08:38:

    [...]

    Hahaha komt ook toevallig nadat er even flinke onzekerheid in de markt/aandeel is geslopen.
    Ruikt qua timing naar een bank die zelf wil inkopen ;)
    Ga vandaag eens kijken voor een positie
    Idd, heel dubieus advies op een heel passend moment voor iemand die graag goedkoper wil inkopen.
  16. TraderRon 26 maart 2015 12:22
    Beste Ronald,

    Deutsche Bank denkt inderdaad dat de introduktie van ASML EUV technology in chip fabrieken wat langzamer zal verlopen dan wat de gemiddelde analist verwacht. ASML heeft hierover, afgelopen januari, het volgende gezegd:

    Wij verwachten dit jaar 6 machines te verschepen, 2 hiervan zijn besteld door TSMC in Taiwan, voor de andere 4 zijn we in onderhandeling met klanten.
    In 2020 verwachten we dat de EUV markt 60 machines per jaar zal bedragen tegen een prijs van 100 miljoen euro per stuk.

    Of deze introduktie van EUV snel of langzaam zal verlopen is moeilijk te voorspellen en afhankelijk van ervaring opgedaan door de klant op korte termijn.
    Om die reden zie je positieve en conservatieve korte termijn verwachtingen gepubliceerd over ASML door de verschillende banken.

    ASML heeft op dit moment geen reden om bovenstaande uitspraken te korrigeren. Eens per kwartaal geven wij een update van onze business. Het volgende bericht is gepland op 15 april wanneer wij onze 1e kwartaal cijfers zullen toelichten.

    Met vriendelijke groet,

    Investor Relations Manager
    ASML


    Om officiële reactie van ASML gevraagd en gekregen.
    Kopen en op de plank tot +- April..
2.899 Posts
Pagina: «« 1 ... 12 13 14 15 16 ... 145 »» | Laatste |Omhoog ↑

Neem deel aan de discussie

Word nu gratis lid van Beursduivel.be

Al abonnee? Log in

Beleggingsideeën van onze partners

Macro & Bedrijfsagenda

  1. 20 mei

    1. Dui, producentenprijzen april
    2. Ryanair jaarcijfers
    3. Brunel ex €0,55 dividend
    4. HAL ex €2,85 dividend
    5. UMG ex €0,27 dividend
    6. Alfen Q1-cijfers
    7. Zoom Video Communications Q1-cijfers
  2. 21 mei

    1. Envipco Q1-cijfers
    2. Fagron ex €0,30 dividend
    3. Euronext ex €2,48 dividend
de volitaliteit verwacht indicator betekend: Market moving event/hoge(re) volatiliteit verwacht