Word abonnee en neem Beursduivel Premium
Rode planeet als pijlen grid met hoorntjes Beursduivel
Aandeel

ASML Holding NL0010273215

Laatste koers (eur)

854,200
  • Verschill

    +7,600 +0,90%
  • Volume

    417.265 Gem. (3M) 547,4K
  • Bied

    851,000  
  • Laat

    854,400  
+ Toevoegen aan watchlist

ASML in 2015

2.899 Posts
Pagina: «« 1 ... 93 94 95 96 97 ... 145 »» | Laatste | Omlaag ↓
  1. [verwijderd] 24 juli 2015 12:46
    For intraday trading:

    Pivot point: PP=92.72
    Resistance: R1=93.54; R2=94.08
    Support: S1=92.19; S2=91.36

    The nearest st-resistance zone is around ~93.50 reinforcing intraday resistance at R1. On daily scale the stock develops a pattern indicating (possible) short-term "top-forming" .... usually followed by st-down(sub)trend (within existing mt-trend). Though, the session is not over yet.

    The mt-downtrend since May 28 is intact. The upper/lower edges of descending channel are ~94.6 / ~86.3

  2. [verwijderd] 24 juli 2015 14:23
    quote:

    Prince Meander schreef op 5 mei 2015 10:27:

    En daar gaan we al!
    Waar zijn "de Man en Mvliex"?
    Waren er van overtuigd dat hij niet hoger zou komen dan E87
    Wonden likken?
    We gaan door naar E105, korte pauze en daarna naar E120 voor 30 juni dit jaar
    haha
  3. altijdwat 24 juli 2015 17:12
    @mrFranz : laat je niet gek maken. Ijzersterk bedrijf waarbij de koers vandaag wel heel erg hard daalt. US blijft redelijk liggen. Nasdaq rondom 0% en wij maar dalen op hun slechte cijfers. Als jij het nog begrijpt. Ik heb alweer een pluk gekocht met een koers net onder de 90,00 euro. Tevens puts sept geschreven met uitoefenprijs 88,00 euro. Ontvangen premie 3,00 euro. Voor 85,00 euro mogen ze zeker in mijn porto terecht komen, hahaah
  4. GherAhnkAhmon 24 juli 2015 17:28
    Tot ongekende hoogte omhoog geprezen ASML, het pareltje van beurs, monopolist van de chip-industrie, ongeëvenaarde prestatie op het gebied van de EUV, koersdoelen om van te dromen.. maar helaas
    -3½ %
    Geweldig vertrouwen weer..
  5. [verwijderd] 24 juli 2015 17:32
    quote:

    GherAhnkAhmon schreef op 24 juli 2015 17:28:

    Tot ongekende hoogte omhoog geprezen ASML, het pareltje van beurs, monopolist van de chip-industrie, ongeëvenaarde prestatie op het gebied van de EUV, koersdoelen om van te dromen.. maar helaas
    -3½ %
    Geweldig vertrouwen weer..
    Welke bijdrage lever je met deze reactie? Wat is je probleem?
  6. GherAhnkAhmon 24 juli 2015 18:15
    quote:

    Jolly Jumper schreef op 24 juli 2015 17:32:

    [...]
    Welke bijdrage lever je met deze reactie? Wat is je probleem?
    Ik heb zelden een probleem, ik merk alleen maar op dat de verhouding tussen wat de analisten schrijven en de handelaren doen bewegen nogal ver uit elkaar liggen de laatste tijd
  7. Anneleen 24 juli 2015 18:17
    Geen paniek; dat is juist wat hedgefondsen willen!!!! Aan de grote volumes kun je zien wie er achter zitten ha ha!
    Trap er niet in; bijkopen en blijven zitten
    Het rendement is onderweg
    Geduld!
  8. [verwijderd] 24 juli 2015 18:58
    quote:

    Prince Meander schreef op 24 juli 2015 18:17:

    Geen paniek; dat is juist wat hedgefondsen willen!!!! Aan de grote volumes kun je zien wie er achter zitten ha ha!
    Trap er niet in; bijkopen en blijven zitten
    Het rendement is onderweg
    Geduld!
    Kun je niet een keer ophouden met die ophitsende teksten...
    kijk eens terug in de historie en zie wat voor onzin je steeds zit te verkondigen.
  9. [verwijderd] 24 juli 2015 19:11
    Ik verwacht weinig upside stock movement totdat er nieuws naar buiten komt over de performance van de NXE-3350B die rond deze tijd geshipped wordt naar de eerste klant, TSMC (2x), en later dit jaar naar Intel (2x) en Samsung(1x?). In totaal zullen er 5 NXE-3350B verscheept worden tot eind dit jaar volgens ASML planning.

    Grootste probleem met de (NXE-3300B) EUV tools is nu de availability. Attached een summary uit een lezing in Juni 2015 van Intel's Mark Phillips over de ASML EUV progress bij Intel in diverse tests.

    Zoals te zien is in de slides is de availability (in 40 W source operation) slechts 40-50% hoewel af en toe een maand met rond 65-70%. Dus er zijn nog veel kinderziektes die eruit moeten voordat een betrouwbare inzet in HVM mogelijk is.

    Maar Intel concludeert nu dat HVM met ASML's EUV tools slechts een kwestie is van WHEN (en not IF anymore). En getuige hun recente order van 6 tools uit een 15 tool purchase agreement zijn ze druk met pilot production voorbereidingen.

    Dus nog even geduld over de eerste feedback van de ervaringen met de nieuwe NXE3350 later dit jaar of begin 2016.

    Tot die tijd kabbelt ASML gewoon rustig door met solid quarter performances zoals naar verwachting in Q3 van 1500-1600 MEuro. Over heel 2015 zal de performance waarschijnlijk uitkomen rond de 6500 MEuro uitkomen.

    EUV
  10. forum rang 7 4finance 24 juli 2015 19:27

    ASML ..... er waren betere weken...... EUV nieuws zal bepalend zijn..... Heb nog steeds de volle verwachting dat de beurskoers (natuurlijk niet in rechte lijn) ook de komende maanden, jaren flink omhoog blijft gaan.... Als je de aandelen in bezit hebt, lekker blijven zitten.... Er komen vast nog wel wat lagere nivo's >80 om bij te kopen.....

  11. [verwijderd] 24 juli 2015 19:47
    Dit artikel is misschien een hart onder de riem. . . . . .

    Khurram Rao
    Currencies, tech, short-term horizon
    Profile| Send Message| Follow (31 followers)
    ASML May Be The Best Stock In The Semiconductor Universe
    Jul. 21, 2015 12:58 PM ET | 4 comments | About: ASML Holding N.V. (ASML)
    Disclosure: I/we have no positions in any stocks mentioned, and no plans to initiate any positions within the next 72 hours. (More...)
    Summary

    Despite cyclical downturn of semiconductor industry, ASML Holding continues to report YoY revenue and margin expansion.
    While taking EUV lithography into consideration, the stock is substantially undervalued even in the current scenario.
    Next uptick wave could lead share price to post new highs towards $113-114 mark. Such a move would be triggered by another news related to additional EUV-based equipment orders.
    ASML Holding (NASDAQ:ASML) reported its second quarter fiscal 2015 (2QFY15) earnings last Wednesday. The company posted a beat on non-GAAP earnings per share (NYSEARCA:EPS) by three euro cents. Following the earnings call, company shares traded higher and concluded the day up 3.50% to $106.68. However, the share price is down 6.65% year-to-date (YTD).

    Over a longer horizon, the stock has gained 151.49% in the last five years. This capital return program excludes an annual dividend which ASML Holding pays at the end of first fiscal quarter each year. In comparison, the broader market, S&P 500 Index, gained 99.84% during the same period.

    The semiconductor industry has been experiencing a cyclical downturn these days. Big names, such as Intel Corporation (NASDAQ:INTC) and Micron Technology (NASDAQ:MU) have lost 20.84% and 46.64%, respectively, of their market capitalization YTD. Industry experts are not sure how long this downtrend would last.

    As a semiconductor industry vendor, it was naturally expected by ASML Holding to exhibit a decline in its net sales or at least profit margins. However, its topline number has expanded 8.68% year-over-year (YoY) and net income margin improved by 207 percentage points this year so far.

    The management aims to achieve €10 billion in net sales by fiscal 2020 (FY20). Moreover, it wants to expand company EPS, or net income, three fold over the same period. During its November 2014 investor presentation on long-term goals, it projected a gigantic 14% operating margin advancement over the next six years.

    The primary driver for this anticipated improvement is ASML's EUV lithography-based equipment launch in 2014-15 and its expected rapid adoption by the market from 2017 onwards. The company holds 100% market share in this specific niche.

    The purpose of writing this article is to evaluate the intrinsic worth of ASML while keeping a soft industry outlook in mind.

    Financial Valuation:

    The following table summarizes the financial performance of ASML during past six years. Every figure is based on a US GAAP disclosure and mentioned in billions of euros, except for percentages.

    Metrics/ Yr
    FY09
    FY10
    FY11
    FY12
    FY13
    FY14
    Net Sales
    1.596
    4.508
    5.651
    4.732
    5.245
    5.856
    Net Income
    -0.151
    1.022
    1.467
    1.146
    1.015
    1.197
    Dep & Amort
    0.158
    0.160
    0.177
    0.190
    0.242
    0.265
    Interest
    0.023
    0.034
    0.023
    0.034
    0.023
    Tax Rate
    -12.02%
    17.76%
    11.02%
    0.37%
    0.78%
    6.00%
    CapEx
    0.105
    0.129
    0.301
    0.190
    0.659
    0.361
    WCInv
    0.171
    -0.094
    0.306
    0.102
    0.354
    FCFF
    0.889
    1.430
    0.847
    0.521
    0.755
    Sales Gr
    182.46%
    25.35%
    -16.26%
    10.84%
    11.65%
    NI Margin
    -9.46%
    22.67%
    25.96%
    24.22%
    19.35%
    20.44%
    Dep/Rev
    9.90%
    3.55%
    3.13%
    4.02%
    4.61%
    4.53%
    CapEx/Rev
    6.58%
    2.86%
    5.33%
    4.02%
    12.56%
    6.16%
    WCInv/Rev
    3.79%
    -1.66%
    6.47%
    1.94%
    6.05%
    FCFF Gr
    60.91%
    -40.80%
    -38.49%
    44.98%
    Source: Company 10-Ks

    Trends in sales and net income margin suggest a somewhat stable outlook for the company. Other measures like depreciation, capital spending and working capital investment ratios also demonstrate a sustainable pattern. The tax rate, however, remained unpredictable over the recent past.

    As per management guidance, I simply projected net sales to hit the €10 billion mark by the end of this decade. ASML needs to exhibit even lower growth than its past to achieve this goal. Net sales expanded by CAGR of 29.69% during the last six years.

    However, net income margin projections were a bit tricky. As of now, I can only defer a major portion of anticipated margin improvements, as mentioned in the opening paragraphs, from FY18 onwards. By that time, the company will be successfully supplying EUV technology on a considerable scale.

    Remaining measures are forecasted to follow their respective historical averages over the studied time horizon. The tax rate is projected to remain 11%.

    Metrics/ Yr
    FY15E
    FY16E
    FY17E
    FY18E
    FY19E
    FY20E
    Sales Gr
    9.33%
    9.33%
    9.33%
    9.33%
    9.33%
    9.33%
    NI Margin
    22.44%
    24.63%
    27.03%
    29.67%
    32.56%
    35.74%
    Tax Rate
    11.00%
    11.00%
    11.00%
    11.00%
    11.00%
    11.00%
    Dep/Rev
    4.57%
    4.57%
    4.57%
    4.57%
    4.57%
    4.57%
    CapEx/Rev
    6.25%
    6.25%
    6.25%
    6.25%
    6.25%
    6.25%
    WCInv/Rev
    3.32%
    3.32%
    3.32%
    3.32%
    3.32%
    3.32%
    Following fiscal 2020 (FY20), free cash flow to firm (FCFF) is guesstimated to grow 2.5% annually on a perpetual basis. The necessary inputs that aided in estimating weighted average cost of capital (WACC) is tabulated as follows.

    Beta
    1.20
    MRP
    4.40%
    Rf
    2.36%
    Ke
    7.64%
    BBB+ Spread
    1.50%
    Kd
    3.44%
    D/V
    2.88%
    WACC
    7.52%
    By applying a discounted cash flow (DCF) valuation model, enterprise value is found to be €51.37 billion. After deducting €1.15 billion of long-term debt, the market value of the equity came in at €50.22 billion. With 432.94 million shares outstanding, the intrinsic worth of ASML is €116.01 , or $125.64 (€1 = $1.0830, as of Jul 20, 2015). The share price is currently undervalued by over 24%.

    Conclusion:

    ASML Holding is definitely one of the best stocks in the semiconductor universe. Contrary to its operating industry, the company is exhibiting healthy sales expansion and margin improvement. In my opinion, ASML could easily hit the $113-114 mark in the next uptick wave over the coming months. Probably more news related to new EUV orders would trigger such a move.
2.899 Posts
Pagina: «« 1 ... 93 94 95 96 97 ... 145 »» | Laatste |Omhoog ↑

Neem deel aan de discussie

Word nu gratis lid van Beursduivel.be

Al abonnee? Log in

Beleggingsideeën van onze partners

Macro & Bedrijfsagenda

  1. 07 mei

    1. Japan inkoopmanagersindex diensten april
    2. NL inflatie april
    3. Reserve Bank of Australia rentebesluit
    4. Infineon Q1-cijfers
    5. UBS Q1-cijfers
    6. Zalando Q1-cijfers
    7. Solvay Q1-cijfers
    8. Heijmans Q1-cijfers
    9. Kendrion Q1-cijfers
    10. BP Q1-cijfers
de volitaliteit verwacht indicator betekend: Market moving event/hoge(re) volatiliteit verwacht